首页> 外文OA文献 >FreshCache: Statically and Dynamically Exploiting Dataless Ways
【2h】

FreshCache: Statically and Dynamically Exploiting Dataless Ways

机译:FreshCache:静态和动态地利用无数据方式

代理获取
本网站仅为用户提供外文OA文献查询和代理获取服务,本网站没有原文。下单后我们将采用程序或人工为您竭诚获取高质量的原文,但由于OA文献来源多样且变更频繁,仍可能出现获取不到、文献不完整或与标题不符等情况,如果获取不到我们将提供退款服务。请知悉。

摘要

Last level caches (LLCs) account for a substantial fraction of the area and power budget in many modern processors. Two recent trends ? dwindling die yield that falls off sharply with larger chips and increasing static power ? make a strong case for a fresh look at LLC design. Inclusive caches are particularly interesting because many, if not most, commercially successful processors use inclusion to ease coherence at a cost of some data being stale or redundant.LLC designs can be improved statically (at design time) or dynamically (at runtime). The "static dataless ways," removes the data?but not tag?from some cache ways to save energy and area without complicating inclusive-LLC coherence. A dynamic version ("dynamic dataless ways") could dynamically turn off data, but not tags, effectively adapting the classic selective cache ways idea to save energy in LLC but not area. Our data show that (a) all our benchmarks benefit from dataless ways, but (b) the best number of dataless ways varies by workload. Thus, a pure static dataless design leaves energy-saving opportunity on the table, while a pure dynamic dataless design misses area-saving opportunity.To surpass both pure static and dynamic approaches, we develop the FreshCache LLC design that both statically and dynamically exploits dataless ways, including repurposing a predictor to adapt the number of dynamic dataless ways as well as detailed cache management policies. Results show that FreshCache saves more energy than static dataless ways alone (e.g., 72% vs. 9% of LLC) and more area by dynamic dataless ways only (e.g., 8% vs. 0% of LLC).
机译:在许多现代处理器中,最后一级缓存(LLC)占面积和功耗预算的很大一部分。最近的两个趋势?芯片产量下降,而芯片越大,静态功率越高,成品率急剧下降?为重新设计LLC设计提供了有力的依据。包容式缓存特别有趣,因为许多(即使不是大多数)商业上成功的处理器使用包容来减轻一致性,但代价是某些数据陈旧或冗余。LLC设计可以静态(在设计时)或动态(在运行时)进行改进。 “静态无数据方式”从某些缓存方式中删除了数据,但未删除标签,从而节省了能源和面积,同时又不增加内含性LLC的一致性。动态版本(“动态无数据方式”)可以动态关闭数据,但不能关闭标签,从而有效地适应了经典的选择性缓存方式的想法,以节省LLC的能量,但无法节省区域。我们的数据表明(a)我们所有的基准测试都受益于无数据方式,但是(b)最佳数量的无数据方式因工作负载而异。因此,纯静态无数据设计会在桌面上留下节能的机会,而纯动态无数据设计会错过节省空间的机会。为了超越纯静态和动态方法,我们开发了FreshCache LLC设计,该设计可静态和动态地利用无数据方式,包括重新使用预测变量以适应动态无数据方式的数量以及详细的缓存管理策略。结果表明,FreshCache比仅使用静态无数据方式节省能源(例如,分别节省72%和9%的LLC),仅通过动态无数据方式节省更多的区域(例如,8%和0%的LLC)。

著录项

相似文献

  • 外文文献
  • 中文文献
  • 专利
代理获取

客服邮箱:kefu@zhangqiaokeyan.com

京公网安备:11010802029741号 ICP备案号:京ICP备15016152号-6 六维联合信息科技 (北京) 有限公司©版权所有
  • 客服微信

  • 服务号